174,99 €
New micro and nanopatterning technologies have been developed in the last years as less costly and more flexible alternatives to phtolithograpic processing. These technologies have not only impacted on recent developments in microelectronics, but also in emerging fields such as disposable biosensors, scaffolds for tissue engineering, non-biofouling coatings, high adherence devices, or photonic structures for the visible spectrum. This handbook presents the current processing methods suitable for the fabrication of micro- and nanostructured surfaces made out of polymeric materials. It covers the steps and materials involved, the resulting structures, and is rounded off by a part on applications. As a result, chemists, material scientists, and physicists gain a critical understanding of this topic at an early stage of its development.
Sie lesen das E-Book in den Legimi-Apps auf:
Seitenzahl: 726
Veröffentlichungsjahr: 2011
Contents
Cover
Related Titles
Title Page
Copyright
Preface
List of Contributors
Part One: Molding
Chapter 1: Materials and Processes in UV-Assisted Nanoimprint Lithography
1.1 Introduction
1.2 UV-Assisted Nanoimprint Lithography
1.3 Imprinting Materials
1.4 Mold Fabrication and Anti-Sticking Strategies
1.5 Conclusion
References
Chapter 2: Roll-to-Roll Nanoimprint Lithography and Dynamic Nano-Inscription
2.1 Introduction
2.2 Roll-to-Roll Nanoimprint Lithography
2.3 Dynamic Nano-Inscription
2.4 Summary
Acknowledgment
References
Chapter 3: Solvent-Assisted Molding
3.1 The Principle of Solvent-Assisted Molding
3.2 Solvent-Assisted Molding with a Good Solvent
3.3 Solvent-Assisted Molding with a Poor Solvent
3.4 Other Techniques
3.5 Applications of Solvent-Assisted Molding
3.6 Conclusions
References
Chapter 4: Soft Lithography and Variants
4.1 Introduction
4.2 Key Features of Soft Lithography
4.3 Microcontact Printing of Self-Assembled Monolayers
4.4 Soft Molding Techniques
4.5 Summary
Acknowledgements
References
Part Two: Writing and Printing
Chapter 5: Transfer Printing Processes
5.1 Introduction
5.2 Techniques
5.3 Key Issues in Transfer Printing Methods
5.4 Advantages and Disadvantages
5.5 Applications
References
Chapter 6: Direct-Write Assembly of 3D Polymeric Structures
6.1 Introduction
6.2 Polyelectrolyte Inks
6.3 Silk Fibroin Inks
6.4 Hydrogel Inks
6.5 Opportunities and Challenges
Acknowledgments
References
Part Three: Laser Scanning
Chapter 7: Three-Dimensional Microfabrication by Two-Photon Polymerization
7.1 Introduction
7.2 Fundamentals
7.3 Materials
7.4 Experimental Setup
7.5 Resolution
7.6 Microstructures: Properties and Characterization
7.7 Applications
7.8 Limitations and Future Directions
References
Chapter 8: Laser Micromachining of Polymers
8.1 Introduction
8.2 Principles of Beam-Matter Interaction in Ablation Processes
8.3 Laser Ablation of Polymers
8.4 Laser-Induced Roughening
8.5 Generative Laser Processes
8.6 Conclusion
Acknowledgements
References
Part Four: Self-Organization
Chapter 9: Colloidal Polymer Patterning
9.1 Introduction
9.2 Emulsion Polymerization
9.3 Forces and Mechanisms in Polymer Dispersions
9.4 Polymer Patterns from Colloidal Suspensions
9.5 Summary and Outlook
References
Chapter 10: Directed Self-Assembly of Block Copolymer Films
10.1 Introduction
10.2 Energetics of the Basic Directed Assembly System
10.3 Examples of Directed Assembly
10.4 Conclusion
References
Chapter 11: Surface Instability and Pattern Formation in Thin Polymer Films
11.1 Introduction
11.2 Origin of Surface Instability
11.3 Polymer Thin Film Dewetting
11.4 Dewetting on Patterned Substrates
11.5 Instability due to Externally Imposed Fields
11.6 Conclusion
Acknowledgment
References
Part Five: Applications
Chapter 12: Cells on Patterns
12.1 Introduction
12.2 Physicochemical Properties of the Substrate Read by Cells
12.3 Conclusions
References
Chapter 13: Polymer Patterns and Scaffolds for Biomedical Applications and Tissue Engineering
13.1 Introduction
13.2 Cell Response to 2D Patterns
13.3 Cells onto 3D Objects and Scaffolds
13.4 Concluding Remarks
References
Chapter 14: Nano- and Micro-Structured Polymer Surfaces for the Control of Marine Biofouling
14.1 Introduction
14.2 Replica Molding in PDMS and Other Polymers
14.3 Stretched Topographies in PDMS
14.4 Structured Surfaces by Self-Assembly
14.5 Nanocomposites
14.6 Nanostructured Polymer Surfaces by Vapor Deposition Methods
14.7 Conclusions
Acknowledgements
References
Chapter 15: Bioinspired Patterned Adhesives
15.1 Introduction
15.2 Vertical Structures
15.3 Tilted Structures
15.4 Coated Structures
15.5 Hierarchical Structures
15.6 3D Structures
15.7 Switchable Adhesion
15.8 Outlook
References
Chapter 16: Patterned Materials and Surfaces for Optical Applications
16.1 Introduction
16.2 Optical Micro- and Nanostructures for Applications
16.3 Conclusion
References
Index
Related Titles
Samori, P., Cacialli, F. (eds.)
Functional Supramolecular Architectures
for Organic Electronics and Nanotechnology
2010
ISBN: 978-3-527-32611-2
Mittal, V. (ed.)
Optimization of Polymer Nanocomposite Properties
2010
ISBN: 978-3-527-32521-4
Ohtsu, M. (ed.)
Nanophotonics and Nanofabrication
2009
ISBN: 978-3-527-32121-6
Harrison, P.
Quantum Wells, Wires and Dots
Theoretical and Computational Physics of Semiconductor Nanostructures
2009
ISBN: 978-0-470-77097-9
Zehetbauer, M. J., Zhu,
Y. T. (eds.)
Bulk Nanostructured Materials
2009
ISBN: 978-3-527-31524-6
Rogers, J. A., Lee, H. H. (eds.)
Unconventional Nanopatterning Techniques and Applications
2009
ISBN: 978-0-470-09957-5
Mack, C.
Fundamental Principles of Optical Lithography
The Science of Microfabrication
2007
ISBN: 978-0-470-72730-0
Heinzel, T.
Mesoscopic Electronics in Solid State Nanostructures
2007
ISBN: 978-3-527-40638-8
The Editors
Dr. Aránzazu del Campo
Max-Planck-Institut
für Polymerforshung
Ackermannweg 10
55128 Mainz
Germany
Prof. Eduard Arzt
Leibniz-Institut für
Neue Materialien GmbH
Campus D2 2
66123 Saarbrücken
Germany
All books published by Wiley-VCH are carefully produced. Nevertheless, authors, editors, and publisher do not warrant the information contained in these books, including this book, to be free of errors. Readers are advised to keep in mind that statements, data, illustrations, procedural details or other items may inadvertently be inaccurate.
Library of Congress Card No.: applied for
British Library Cataloguing-in-Publication Data
A catalogue record for this book is available from the British Library.
Bibliographic information published by the Deutsche Nationalbibliothek
The Deutsche Nationalbibliothek lists this publication in the Deutsche Nationalbibliografie; detailed bibliographic data are available on the Internet at http://dnb.d-nb.de.
© 2011 WILEY-VCH Verlag & Co. KGaA,
Boschstr. 12, 69469 Weinheim, Germany
All rights reserved (including those of translation into other languages). No part of this book may be reproduced in any form – by photoprinting, microfilm, or any other means – nor transmitted or translated into a machine language without written permission from the publishers. Registered names, trademarks, etc. used in this book, even when not specifically marked as such, are not to be considered unprotected by law.
ISBN: 978-3-527-32508-5
Preface
Recent innovations in the area of micro- and nanofabrication have created a unique opportunity for patterning surfaces with features with lateral dimensions spanning from the nano- to millimeter range. The microelectronics industry and the need for smaller and faster computing systems have pushed this development during the past two decades, mainly focused on obtaining patterns with the smallest possible lateral dimensions via optical lithography in its multiple variants. In parallel, new application fields for miniaturized devices (i.e., lab-on-a-chip) and interesting properties for structured coatings (see Part Five of this book) have emerged. These applications have pushed the development of alternative patterning technologies more suited for plastic manufacturing.
Classical processing techniques in the polymer industry such as molding and printing have now been adapted to the micro- and nanoscale to produce such devices at laboratory and manufacture scales with submicrometric resolution using different materials. The most illustrative examples (UV, thermal, solvent, and soft molding) are collected in Part One (Chapters 1–4) of this book. In its simplest conception, molding is limited to 2D surface designs. However, molding can be combined with printing and transfer steps to create more complex geometries by stacking layers with different pattern and material designs in a multistep process (Chapter 5). In this way, surface structures with several hierarchy levels, tilted, reentrant, or suspended geometries become realizable. Patterning curved substrata is also a difficult task that has been achieved by direct writing with small quantities of material using micronozzles (Chapter 6). This strategy allows generation of almost any 3D pattern on any material provided the material solution has the appropriate rheological properties.
Laser scanning can be used for microfabrication of complex, 3D geometries via ablation (Chapter 8) or via two-photon polymerization (Chapter 9). Both are serial techniques that offer great flexibility in the geometrical design but cannot be applied to any material of choice. Finally, colloidal assemblies (Chapter 9), phase-separated block copolymers (Chapter 10), and surface instabilities (Chapter 11) exploit soft-matter inherent ordering phenomena to build periodic patterns. Feature size can be tuned by the molecular architecture and/or an external field and nanodomains with a variety of motifs, chemistries, and tailored size, and periodicity might be created without the need of a mold, a great advantage against techniques described in Part One. This book offers a detailed description of all these techniques and highlights some applications.
December 2010
Aránzazu del Campo, Mainz, Germany
Eduard Arzt, Saarbrücken, Germany
List of Contributors
Se Hyun Ahn
The University of Michigan
Department of Mechanical Engineering
1301 Beal Ave.
Ann Arbor, MI 48109-2122
USA
Natália M. Alves
University of Minho
Department of Polymer Engineering
3B.s Research Group - Biomaterials,
Biodegradables and Biomimetics
Campus de Gualtar
4710-057 Braga
Portugal
Eduard Arzt
INM Leibniz-Institut für Neue
Materialien gGmbH
Campus D2 2
66123 Saarbrücken
Germany
Tommaso Baldacchini
Newport Corporation
Technology & Applications Center
1791 Deere Avenue
Irvine, CA 92606
USA
Luciano F. Boesel
Max-Planck-Institut für
Polymerforschung
Ackermannweg 10
55128 Mainz
Germany
and
Swiss Federal Laboratories for Materials
Science and Technology
EMPA
Lerchenfeldstrasse 5
9014 St. Gallen
Switzerland
Philip Born
INM Leibniz-Institut für Neue
Materialien gGmbH
Campus D2 2
66123 Saarbrücken
Germany
Jumana Boussey
Laboratoire des Technologies de la
Microélectronique (LTM)
CNRS, CEA-LETI-MINATEC
17 rue des Martyrs
38054 Grenoble
France
James A. Callow
University of Birmingham
School of Biosciences
Birmingham B15 2TT
UK
Maureen E. Callow
University of Birmingham
School of Biosciences
Birmingham B15 2TT
UK
Marco Cecchini
NEST
CNR-INFM and Scuola Normale
Superiore
Piazza San Silvestro 12
56126 Pisa
Italy
Gordon S.W. Craig
University of Wisconsin-Madison
Department of Chemical and Biological
Engineering
Madison, WI 53706
USA
Peter W. de Oliveira
INM Leibniz-Institut für Neue
Materialien gGmbH
Campus D2 2
66123 Saarbrücken
Germany
Aránzazu del Campo
Max-Planck-Institut für
Polymerforschung
Ackermannweg 10
55128 Mainz
Germany
Aldo Ferrari
ETH Zurich
Laboratory of Thermodynamics in
Emerging Technologies
Sonneggstrasse 3
8092 Zurich
Switzerland
L. Jay Guo
The University of Michigan
Department of Electrical Engineering
and Computer Science
1301 Beal Ave.
Ann Arbor, MI 48109-2122
USA
Ho-Sup Jung
Seoul National University
School of Mechanical and Aerospace
Engineering
Seoul 151-742
Korea
Marleen Kamperman
INM Leibniz-Institut für Neue
Materialien gGmbH
Campus D2 2
66123 Saarbrücken
Germany
and
University of Wageningen
Physical Chemistry and Colloid Science
Dreyen plein 6
6703 HB Wageningen
Netherlands
Chantal G. Khan Malek
FEMTO-ST Institute - UMR CNRS 6174
Department of Micro Nano Sciences & Systems
32 Av. del. Observatoire
25044 Besançon
France
Tobias Kraus
INM Leibniz-Institut für Neue
Materialien gGmbH
Campus D2 2
66123 Saarbrücken
Germany
Jennifer A. Lewis
University of Illinois at Urbana-
Champaign
Beckman Institute for Advanced
Science and Technology
Department of Materials Science and
Engineering and Frederick Seitz
Materials Research Laboratory
Urbana, IL 61801
USA
Joäo F. Mano
University of Minho
Department of Polymer Engineering
3B.s Research Group - Biomaterials,
Biodegradables and Biomimetics
Campus de Gualtar
4710-057 Braga
Portugal
Elena Martínez
Institute for Bioengineering of
Catalonia (IBEC)
Nanobioengineering Group
Baldiri Reixac 10-12
08028 Barcelona
Spain
and
Centro de Investigación Biomédica en
Red en Bioingeniería
Biomateriales y Nanomedicina
(CIBER-BBN)
50018 Zaragoza
Spain
Rabibrata Mukherjee
Indian Institute of Technology
Department of Chemical Engineering
Kharagpur 721 302
India
Eoin Murray
INM Leibniz-Institut für Neue
Materialien gGmbH
Campus D2 2
66123 Saarbrücken
Germany
Paul F. Nealey
University of Wisconsin-Madison
Department of Chemical and Biological
Engineering
Madison, WI 53706
USA
Sara T. Parker
University of Illinois at Urbana-
Champaign
Beckman Institute for Advanced
Science and Technology
Department of Materials Science and
Engineering and Frederick Seitz
Materials Research Laboratory
Urbana, IL 61801
USA
Iva Pashkuleva
University of Minho
Department of Polymer Engineering
3B.s Research Group - Biomaterials
Biodegradables and Biomimetics
Campus de Gualtar
4710-057 Braga
Portugal
Wilhelm Pfleging
Karlsruhe Institute of Technology (KIT)
Institute for Materials Research I
Hermann-von-Helmholtz-Platz 1
76344 Eggenstein-Leopoldshafen
Germany
M. Quilitz
INM - Leibniz-Institut für Neue
Materialien GmbH
Campus D2 2
66123 Saarbrücken
Germany
Rui L. Reis
University of Minho
Department of Polymer Engineering
3B.s Research Group - Biomaterials,
Biodegradables and Biomimetics
Campus de Gualtar
4710-057 Braga
Portugal
P. Rogin
INM - Leibniz-Institut für Neue
Materialien GmbH
Campus D2 2
66123 Saarbrücken
Germany
Stephan Roth
Bayerisches Laserzentrum GmbH
Konrad-Zuse-Straße 2-6
91052 Erlangen
Germany
Josep Samitier
Institute for Bioengineering of
Catalonia (IBEC)
Nanobioengineering Group
Baldiri Reixac 10-12
08028 Barcelona
Spain
and
University of Barcelona
Department of Electronics
C/ Martí i Franquès 1
08028 Barcelona
Spain
and
Centro de Investigación Biomédica en
Red en Bioingeniería
Biomateriales y Nanomedicina
(CIBER-BBN)
50018 Zaragoza
Spain
Ashutosh Sharma
Indian Institute of Technology
Department of Chemical Engineering
and DST Unit on Nanosciences
Kanpur 208 016
India
Ullrich Steiner
University of Cambridge
Department of Physics
Cavendish Laboratory
J.J. Thomson Avenue
Cambridge CB3 0HE
UK
Kahp-Yang Suh
Seoul National University
School of Mechanical and Aerospace
Engineering
151-742 Seoul
Korea
Marc Zelsmann
Laboratoire des Technologies de la
Microélectronique (LTM)
CNRS, CEA-LETI-MINATEC
17 rue des Martyrs
38054 Grenoble
France
Part One
Molding
Chapter 1
Materials and Processes in UV-Assisted Nanoimprint Lithography
MoldingMarc Zelsmann and Jumana Boussey
1.1 Introduction
Nanoimprint lithography (NIL), first proposed by S. Chou in 1995 [1], is a high resolution and high throughput lithography technique based on the mechanical deformation of a resist layer with a stamp (or mold) presenting a surface topography (including eventually three-dimensional (3D) features). A schematic of this technique is shown in Figure 1.1. After the pattern formation, the polymer layer may be used as a resist mask for additional processing steps (transfer etching in the substrate, ion implantation, material deposition, lift-off . . .), or this layer may be used as it is, as a functional material. A residual resist layer is always observed under the mold protrusions after imprinting. This layer can be removed with an anisotropic “breakthrough” plasma etching step to obtain a conventional lithography resist mask.
Figure 1.1 Schematic of the nanoimprint process.
Molds are in general fabricated with high resolution techniques, mainly electron-beam lithography [2], allowing NIL to achieve resolutions beyond the limitations set by light diffraction in optical projection lithography. Furthermore, due to parallel fabrication of features over large areas, high throughput production is possible. NIL is considered as a next generation lithography (NGL) technique in the microelectronics industry for the fabrication of integrated circuits (IC) [3]; in addition it is also being developed as a fabrication technique for applications where electron-beam lithography or state-of-the-art photolithography cannot achieve sufficiently high resolution at reasonable cost or where the capability of 3D imprinting or imprinting in a functional material is needed.
Two main process families can be distinguished: thermal NIL [1] and ultraviolet-assisted NIL (UV-NIL) [4]. The first one is described in Chapter 2 of this book. In this case, the mold is usually pressed into a thin thermoplastic polymer film heated above its glass transition temperature where the polymer can flow under quite high pressure. The viscosity of the thin heated polymer layer remains a few orders of magnitude higher than that of a monomer layer [5, 6]. The mold is generally made of silicon, using advanced and established processes from the microelectronics industry. Furthermore, the nonflatness of the mold and the substrate is in general compensated by quite a high imprinting pressure (with the help of mold/substrate bending and compliant layers). Also, resists are more readily available as most of the resists used in electron-beam or photolithography are thermoplastic polymers.
In UV-NIL, a low viscosity monomer resist is pressed at room temperature with a transparent mold at a limited pressure and later polymerized by UV light to form solid structures. In this case, a rigid and UV-transparent mold, usually from fused silica, is more difficult to fabricate. In addition, only few dedicated resists are commercially available and flatness issues are more critical due to the low viscosity resist used. Nevertheless, key advantages of UV-NIL make it a very attractive process for industrial applications:
UV-NIL can be performed at room temperature, there is no need to heat and cool the mold/wafer stack as in thermal NIL. This leads to higher throughput, as UV curing takes only a few seconds, and improved fidelity of patterns. Also the process does not suffer from thermal expansion mismatch, as in thermal NIL, which might induce distortions of the printed patterns. (For this reason, it is mandatory to use the same material for mold and substrate in thermal NIL).With UV-transparent stamps, high alignment accuracy between different lithography levels is easier to implement in UV-NIL [7].Low viscosity resists allow an improved resist flow and redistribution leading to better printing uniformity, especially when the mold design includes micro- and nanometer features at the same time or areas with different densities. Additionally, with these low-viscosity resists, UV-NIL can be performed at low pressure (<1 bar). This is essential for molding films onto delicate substrates and releases constraints on the mechanical properties of the mold.A step-and-repeat process allows the fabrication of smaller and cheaper stamps and a better control of the placement accuracy [8]. Such a process is easier to implement with a UV system than with a thermal system.Finally, the properties of the cured polymer after imprinting can be adapted to dedicated applications by changing the resist formulation or the irradiation time.In this chapter, we will deal with some issues involved in UV-NIL, in particular concerning the molding process itself, the resists used, the mold fabrication and its anti-sticking treatment. Some of the questions treated may apply to thermal NIL as well. It should be noted that we will not consider embossing or molding of thick materials in this chapter, but of thin layers of resists (i.e., channels in which the resist flows in very narrow spaces). This affects many issues such as printing uniformity and resist flow, among others. Nevertheless, for lithography, it is absolutely necessary to use a resist layer as thin as possible to be able to transfer the features to the underlying substrate. Also, a reduced resist thickness might be an important point in many applications using as-printed polymer layers as functional materials. This chapter will not cover specific nanoimprinting processes such as solvent-assisted NIL [9, 10] (see Chapter 3), reversal NIL [11–13], which involves resist transfer from the mold to the substrate, or hybrid processes (combination of NIL and photolithography for example [14]) that may use UV light and room-temperature imprinting as well.
1.2 UV-Assisted Nanoimprint Lithography
1.2.1 Process Details and Variants
In UV-NIL, a liquid material is coated onto a substrate and pressed, at room temperature, against a rigid UV-transparent mold. The displacement of the low viscosity resist is not only due to the imprinting pressure applied (squeeze flow), but also due to the capillary forces in the system. Consequently, only a small pressure (<1 bar) is sufficient to fill the mold cavities. Furthermore, this low force ensures a uniform imprint. Indeed, mold and substrate can be assumed to be completely rigid so that they approach in a perfectly parallel manner, insuring a uniform residual layer. Nevertheless, this ideal case works only with perfectly flat surfaces, which are difficult to obtain in real experiments. To ease the process and to be able to imprint over topography, planarization layers might be necessary [15, 16]. Also, imprinting at low force using two rigid surfaces is facilitated when the contact area is limited; this requires, in general, working with small molds. This is also an advantage for mold fabrication, as it is easier and cheaper to write a limited area for example by electron-beam lithography. Also, defect inspection and repair are also facilitated on smaller stamps. This is the reason why UV-NIL is often developed in a “step-and-repeat” process, where the mold, with dimensions in the range of 25 × 25 mm2, is stepped to pattern the whole wafer area as in a stepper lithography tool.
To apply resists onto wafers, two techniques are used. The first one is spin-coating, already used in standard lithography. Its main advantage is its excellent thickness uniformity over large areas. As liquid low-viscosity resists are used in UV-NIL, the formation of a stable thin liquid layer by spin-coating is not straightforward. The resist has to wet the substrate surface, which depends on the resist used and might require a special wafer treatment. Additionally, low-viscosity resists with a limited vapor pressure must be chosen to ensure that all dies will have the same initial resist layer thickness ant to allow imprinting in vacuum. In practice, this means that the viscosity cannot be smaller than about 30 mPa.s.
On the other hand, the resist can be drop-dispensed on the wafers. This can be done for only one imprinting area just before contact with the mold, limiting the possible evaporation of resist and allowing working with resist viscosities as low as a few mPa.s [17]. Furthermore, drops as small as 1 pL can be used [18], which corresponds to individual imprinted area of about 10 × 10 µm2 on the wafer; depending on structure size and density, this corresponds to about 60 000 drops per die in standard step-and-repeat conditions. This large number of drops gives the possibility to adapt the resist quantity to the mold design in the die itself, which leads to an improved printing uniformity, and shorter imprinting times, due to the limited resist flow. The combination of step-and-repeat processing with tunable drop-dispensing (drop-on-demand™) has given rise to “step and flash™” imprint lithography (S-FIL) and lately to “jet and flash™” imprint lithography (J-FIL), trademarks of Molecular Imprint Inc. [19, 20]. Also, the multidroplet geometry seems to favor filling of the mold cavities [21]. When the mold approaches the coated substrate, the fluid droplets spread out and fill the cavities under capillary action, and the capillary force around each drop attracts the mold, enhancing the effective imprinting force. It was shown that smaller drops (∼pL) induce an improved capillary action [21] but are more difficult to produce at high speed with good placement accuracy, generating issues on the drop dispensing unit. On the other hand, larger drops (∼100 pL) are more difficult to displace (longer flow time). They induce constraints on the mold design and will obviously generate a larger quantity of expelled resist at the mold edges. Nevertheless, not all resists can be drop-dispensed, especially in small drops. This depends on their visco-elastic properties and can be a limitation, in particular in applications when especially dedicated resists are used.
Another development of S-FIL is S-FIL reverse [20, 22] (S-FIL/R, see Figure 1.2). In this case, a purely organic resist is first imprinted as in S-FIL. Then, a more plasma-etch-resistant resist (silicon-containing) is spin-coated onto the imprinted features acting as a planarization layer. Finally, this stack is etched in a plasma reactor to produce the patterned resist mask. This technique is able to generate higher aspect ratio features and is less sensitive to non-uniformities in the imprinted residual resist layer. Also, it allows the fabrication of patterns with the same polarity as on the mold and, due to the two-layer resist, a lift-off process is possible. Additionally, there is no need to imprint the silicon-containing etch-resistant resist. Also, resist contaminations on the mold are easier to remove since the mold is in contact only with a purely organic resist. Finally, the silicon-rich resist does not have to exhibit low viscosity and can be applied with high uniformity by spin-coating.
Figure 1.2 Schematic of the S-FIL/R nanoimprint process.
Alternatively to the step-and-repeat process, UV-NIL is also used with larger stamps [23, 24]. In this case, the imprinting pressures applied are in general much larger than in the step-and-repeat process to ensure a conformal contact between mold and substrate. In order to avoid imprinting non-uniformities, it is then very important that the tool used is able to apply a uniform (isotropic) imprint pressure even on nonflat surfaces. This is possible using soft pistons or membranes. Another alternative for ensuring conformal contact, even with quite low imprint forces, is to use a soft stamp [25]. Nevertheless, with these techniques, it becomes more difficult to realize high accuracy alignments of successive lithography levels due to the tool architecture or to the fact that the mold is not stiff enough.
1.2.2 Resist Flow in Thin Layers
As explained above, in UV-NIL, resist displacement is promoted both by the applied imprinting force and by the capillary forces. The balance between the two phenomena is not clear and depends strongly on process conditions (mold treatment, wafer treatment, resist viscosity and surface energy and resist coating type); in UV-NIL the effect of capillarity is invariably increased. Nevertheless, the squeeze flow of a supposedly perfectly viscous resist can be described quite simply to a first approximation by Stefan's law [26]. For a line, the imprinting time can be written as [27]:
where η0 is the zero shear viscosity of the resist, s the width of the line, h0 the initial resist layer thickness, hf the final resist layer thickness (residual layer) and p the effective imprinting pressure on the line (see also Figure 1.3).
Figure 1.3 Illustration of quantities in Stefan's law for a one-dimensional line [27].
We note that a shorter imprinting time can be achieved by a higher imprinting pressure. Furthermore, the linewidth s as well as the residual resist thickness hf plays a large role. Indeed, for a mold containing isodense 100 nm lines and spaces, the time needed to press a resist, with 10 mPa.s viscosity, from 100 to 15 nm thickness applying a pressure of 1 bar is about 1 µs. (In comparison, in the case of thermal NIL, where the viscosity is higher than 1000 Pa.s, the imprinting time under the same conditions is larger than 0.1 s.) This time is extremely short, but if the linewidth is thousand times larger (100 µm), the viscosity ten times higher (100 mPa.s, classical case of spin-coated resists) and if a residual layer of 10 nm is targeted, then the imprinting time becomes 0.2 s (2000s in the case of thermal NIL). In the case of UV-NIL, the process is still very fast. Nevertheless, as the mold and substrate are assumed to be perfectly rigid due to the low imprinting pressure, the sinking rate of the mold will be governed by the largest protrusions. Thus, large protrusions (>100 µm) must be avoided on the total surface of the mold, or fake cavities must be included in the mold design, in order to reduce the maximal linewidth.
As an example, with a 1000 mPa.s viscosity resist, a 13 bar pressure and an optimized initial resist thickness (final residual layer <20 nm), the mean free path (or flowing distance) of a resist molecule was experimentally estimated to be about 1 mm [28]. This value is important for design of the mold, which has to exhibit homogeneous protrusion density (protrusion to cavity area ratio) on any 1 mm2 areas on its surface in order to favor a uniform residual layer. In this example, the resist redistribution area was quite small but, when using lower viscosity resists (η0 < 50 mPa.s), this area should reach almost the stamp size in the case of small stamps.
1.2.3 Imprinting Examples and Resolution
In Figure 1.4, some examples of imprinted resist layers, made by our group on an EVG 770 step-and-repeat system, are illustrated. With an optimized initial resist thickness and a known mold design, an excellent contrast between the feature height and the residual layer thickness can be obtained; this is a very important point in the case of additional process steps.
Figure 1.4 Examples of UV-NIL imprinted patterns: (a) 100 nm lines and spaces; (b) 100 nm contact holes.
Even in the first NIL experiment by S. Chou [1], a very high resolution of 25 nm was demonstrated using thermal NIL. The fabricated polymer mask could be used successfully in a lift-off process. In UV-NIL, a resolution of 5 nm linewidth and 14 nm pitch using NIL and lift-off was demonstrated in 2004, also by the group of S. Chou [29]. Here, the mold used was a cleaved facet of a sample containing molecular-beam epitaxy-grown superlattices. In the same year, Hua et al. demonstrated that it is even possible to reproduce the shape of a single-wall carbon nanotube in a polymer (Figure 1.5) [30]. Indeed, the surface roughness of the mold is in general very well reproduced in the polymeric material during the molding process. The resolution of the molding technique itself does not limit the overall resolution of the technique, but much more the high resolution mold availability and the pattern transfer (as will be detailed in Section 1.3.3 below).
Figure 1.5 Demonstration of single-wall carbon nanotube reproduction using UV-NIL.
(Reproduced with permission from [30]. Copyright © (2004) American Chemical Society.)
1.2.4 Tools and Industrialization Issues
As described in more detail in the review by H. Schift [6], many different tool architectures exist in NIL, depending on the process used or on the targeted application. In UV-NIL, two main types of tools can be identified. The first one is the step-and-repeat tool. The main commercial players are Molecular Imprints [31], EVG [32], and SET/Karl Süss [33]. In this case, hard stamps and substrates with excellent flatness are brought into contact at low pressure. The advantages of these tools are the possibility of fabricating stamps with smaller active areas (in general around 25 × 25 mm2) and of more easily implementing a high accuracy alignment system. Indeed, the high accuracy alignment (<30 nm) requires stiff molds as it is performed when the mold is already in contact with the liquid resist before UV exposure. The second type of tool is composed of equipment using soft pistons and full wafer molds. Here, flexible membranes are used on one or both sides of the wafer/mold stack in order to apply an isotropic imprinting pressure. The higher imprinting pressure used (up to more than 100 bars) can bend the mold and substrates, thereby ensuring conformal contact. Known companies in this field are Nanonex [34], Obducat [35], Molecular Imprints [31], EVG [32], and SET/Karl Süss [33]. The main advantages of these tools are a lower flatness requirement for substrate and mold and very high throughput. However, alignment is more problematic due to the larger molds and the soft piston tool architecture.
Finally, some other companies (Toshiba, Hitachi) have reported the fabrication of UV-NIL tools, but for internal use and especially developed for microelectronic or bit-patterned media applications [36, 37].
In a research laboratory, nanoimprint lithography works very well. If high throughput and high yield are required in an industrial process, specific issues has to be solved or tolerated, for example:
Air entrapment, evacuation and dissolution. This problem depends on the tool and process conditions used. At high aspect ratio features, the flow front can arrest and create bubbles. Also, the geometries of the resist drop placement and of the contact play an important role: for example, an inclined template or mold bending may enhance bubble evacuation, especially for larger molds. Air bubbles are able to dissolve in the liquid resist, but this might limit the speed of the global imprinting process. The bubble dissolution rate in the resist can be enhanced in special gas environments (small helium molecules [38] or carbon dioxide [39] dissolve well in organic materials). The use of pentafluoropropane, in which condensation starts when the gas pressure exceeds 0.15 MPa, was also demonstrated [40]. Another possibility is to work in vacuum [41]. Then, one has to be aware of the resist evaporation.Flatness issues. At low imprinting force, the imprint quality depends on the flatness of mold and substrate. In the step-and-repeat process, the common 6.3 mm thick quartz mold can be prepared with sufficient flatness. As substrates, double-side polished wafers are preferable to single-side polished ones due to their better flatness and reduced total thickness variation. The wafer chuck flatness also has to be controlled.Local geometry of the mold features. The geometry of the mold features might induce some problems. In particular, some applications in microelectronics are very sensitive to the line edge roughness (LER). The shape of the features (vertical or inclined sidewalls, undercuts) may also induce demolding problems (possible rip-off of the structures, increased demolding force) [6].Mold inspection and repair. Fabrication of defect-free molds is extremely difficult. Some applications, microelectronics for example, require molds with almost no defects. Therefore, automatic mold inspection and repair tools are being developed. This is not a simple task because very high resolution is required (much smaller than in photolithography mask inspection as no reduction coefficient exists in NIL) and the mold material is, in general, insulating; the use of scanning electron microscopy is therefore more problematic due to charging effects.Mold design issues. Uniform residual layers are obtained when large protrusions are avoided in the mold design and when the protrusion density is uniform to avoid resist displacement over longer distances. To avoid long resist displacements and to compensate for large density variations in the mold design, one may use a reduced initial resist thickness (much less than the mold depth). Then, larger cavities will be only partially filled. Nevertheless, and especially in UV-NIL, where capillary phenomena are very important, this is not a good solution. Indeed, the resist will not remain flat in the incompletely filled cavities, but will create capillary bridges between the mold and the substrate, leading to dewetted areas [42].Mold and mold treatment, mold contamination. This will be detailed in Section 1.4 below.All the aforementioned issues may lead to defects in the imprinted resist [43, 44]. Additionally, defects may also come from atmospheric particle contamination. A simple particle trapped between mold and substrate will produce a large noncontact area. Hence it is absolutely necessary to work in a very high quality clean environment. Another issue concerns the distance between imprinted dies in the step-and-repeat process. Due to the expelled resist at the stamp border, a distance of about 100 µm or more is set in general between the dies. This resist excess at the die border is a problem for the resist stripping step (removal of the resist mask or residues after use) because of its increased thickness. Additionally, imprinting of an incomplete die at the border of a wafer is difficult without damaging or contaminating the mold. Finally, real time measurement and simulation tools (including all interfacial aspects) would be of great help to understand more precisely some of the phenomena [45].
1.3 Imprinting Materials
1.3.1 State-of-the-Art
Resists developed for UV-NIL must have the following characteristics:
low viscosityhigh curing speedhigh etch-resistancehigh adherence to the substratelow adherence to the moldgood film formation (spin-coated resists)low contraction during photopolymerizationadapted mechanical properties and, finally,low evaporation rate (reduced vapor pressure).In general, they are composed of monomers and a UV-sensitive photo-initiator. Additionally, they may contain sensitizers [46] (to improve UV light absorption), surfactants [47] (for example fluorinated surfactants to reduce adherence to the mold), more than one photo-initiator [48] (to improve the speed of conversion and the conversion ratio), inhibitors (to reduce the effect of free radical annealing by scavengers like oxygen) and solvents (to adjust the thickness of the spin-coated layer). Solvents are not used in the case of drop-dispensing in order to maintain initial spherical drop shapes, facilitating resist flow and limiting bubble entrapment.
The monomers used may present one or more polymerization sites. In the case of one site only, the cured material will be composed of linear chains and remain soluble in common solvents. This may lead to lower mechanical stiffness and lower plasma etching resistance, with the advantage of easy removal from the mold (resist contamination on the mold can be cleaned more easily) or wafer (resist stripping). In the case of more than one polymerization site, the material will consist of a 3D crosslinked network.
Three main monomer families are used in UV-NIL. The first one is epoxy monomers [46, 49]. In general, they have the advantages of insensitivity to oxygen during the polymerization (cationic mechanism) and higher mechanical stiffness; but the polymerization does not proceed rapidly as compared to acrylates or vinyl ether formulations. Acrylates are most often used, mainly because of their extensive commercial availability, low viscosity, and capability for rapid polymerization via radical propagation. However, oxygen is a strong radical inhibitor. Dissolved oxygen in the resist may slow down the polymerization mechanism, or some uncured resist contamination may appear at the border of the template where resist is in contact with air. Vinyl ethers are the third category of monomers used in UV-NIL [50]. Their polymerization also proceeds via a cationic mechanism which is insensitive to oxygen and very rapid. In addition, vinyl ether monomers have even lower viscosities than acrylates at otherwise comparable molecule composition [51]. Nevertheless, there is not a large choice of commercial raw materials and vinyl ether resists adhere more strongly to molds [51]. This latter limitation is partly overcome by the higher tensile strength of vinyl ether formulations [52]. Finally, the UV sensitivity is not a limiting point of UV-NIL since exposure times of 1 s or less are reported using acrylates or vinyl ether formulations [53].
Concerning the mechanical properties, high crosslinking of the material after UV exposure may induce improved plasma etch resistance [54] as well as improved mechanical properties. This last point is important for the demolding process. However, a long UV exposure time may cause excessive shrinkage and brittleness of the resist, increasing the possibility of features breaking and mold contamination. On the other hand, insufficient UV curing will lead to low cohesive strength of the polymer, increasing the probability of pattern distortion and collapse [55]. This implies that the irradiation dose will have to be optimized for each material and pattern type in order to achieve appropriate mechanical properties.
In addition, some resists have been developed for special applications and with special properties. This is the case for example in microelectronics, where special hybrid organic-inorganic materials with low dielectric constants and high thermal and mechanical stability are being developed to simplify the dual damascene electrical interconnection process [56]. Also, resists loaded with functional nanoparticles can be patterned using NIL [57].
Commercially, NIL products are available from Nanonex [34], Obducat [35], micro resist technology [58], Molecular Imprints [31], AMO [59], Toyo Gosei [60], or AGC [61], for example. Some manufacturers sell their resists only with a proprietary process and equipment. It is then difficult to compare the properties of these commercial products. Adhesion of low viscosity thin films of monomer solutions to the silicon (or fused silica) substrates can be enhanced by using an intermediate adhesion promoter applied prior to resist dispensing. This can be a thin polymeric film with high adhesion to the substrate and high affinity to the monomers used. Also, this thin additional layer can play the role of substrate planarization layer. However, its thickness might be as high as a few tens of nm and will increase the residual resist thickness, but it may help the pattern transfer (for lift-off processes, for example [62]). An alternative approach consists in functionalizing the silicon surface with a self assembled monolayer (SAM) whose molecules are designed to fulfill two requirements: one head group bonds covalently to the silicon surface via a silanization reaction with hydroxyl groups while the other head group copolymerizes with the UV-NIL resist during UV exposure. This solution was adopted by Hewlett Packard's research group in 2005 and has allowed 30 nm half pitch dense lines to be imprinted and transferred using a methacrylate based resist [63].
1.3.2 Shrinkage
During UV curing, the resist volume shrinks. Due to a ring-opening polymerization, epoxy resist have a very limited shrinkage rate, in general around 3%. On the other hand, acrylates and vinyl ether resists show shrinkage rates of around 10% in most cases [64]. For high aspect ratio features embossed in a thick UV-curable material, resist shrinkage was demonstrated to facilitate demolding [65]. It seems that an optimum level of shrinkage exists at which the stress experienced by the polymer during demolding is minimized [66]. Such phenomena have not yet been demonstrated in UV-NIL and large resist shrinkages must be avoided. Indeed, due to the thin residual resist thickness and the mechanical rigidity of the substrate, stresses at the bottom of the fabricated structures cannot relax. Consequently, the resist shrinkage will induce a change in the top lateral dimensions and height of the features, reducing their lateral slope. This is a problem for the control of critical dimensions, especially when an additional plasma etching transfer step is required.
In order to limit shrinkage, resist formulations have been made with special monomers. For example, steric hindrance might be used. Another possibility is to include an oligomer or a polymer in the resist formulation as a binder [67], but one has to be careful not to prohibitively increase the resist viscosity.
1.3.3 Plasma Etching Processes and Resist Stripping
The formed polymer layer can be used as a mask in a plasma etching equipment.
First, a “breakthrough” etch is performed in order to remove the residual resist layer. In general, a pure oxygen plasma is used here which is very reactive with organic materials but will not etch substrates like silicon or silica. Addition of ammonia (NH3) or larger atoms (Cl or Ar) in the plasma was shown to improve the anisotropy of the process and to reduce the etching speed of the resist, leading to improved process control [68]. Even with an anisotropic etching process, it is important to have a uniform residual layer. In the case of an over-etch intended to compensate for the non-uniformity of the residual layer, reactive species at locations were the resist layer is already removed will react isotropically with the surrounding features and might change their lateral dimensions.
Subsequently, the “opened” resist mask obtained can be used to etch the underlying substrate. In the case of silicon or silica, plasmas with fluorine (mainly CF4 and SF6 gases), bromine (HBr) or chlorine (Cl2, HCl) can be used. Usable aspect ratios are in general larger than 1, that is, the feature height exceeds the line width. To achieve this, imprinted resists have to exhibit the correct selectivity to the underlying material; this means that the etch rate of the resist in the plasma has to be sufficiently small compared with the etch rate of the substrate.
In general, in UV-NIL, the material is crosslinked due to the use of monomers with multiple photopolymerizable groups. This is an advantage compared with the photolithography case (in particular positive tone resists). Indeed, correct etching resistance can be obtained when choosing hybrid organic–inorganic monomers, for example molecules containing siloxane bonds, characterized by their weight percentage of silicon atoms. Perfluorinated monomers or monomers containing aromatic cycles (benzenic or norbornene cycles, for example) can also be used. Figure 1.6 shows 40 nm wide lines which were etched 120 nm deep in silicon using a 80 nm thick layer of the commercial product AMONIL, from AMO, which is a silicon-containing resist.
Figure 1.6 Example of 40 nm wide lines etched 120 nm deep in silicon using a silicon-containing resist, before removing the resist residue.
Figure 1.7 shows examples of silicon structures etched with three different resists under exactly the same conditions (same imprinting conditions, mold, etching plasmas, resist thickness and resist removal process). We observed that for the purely organic product, the plasma etching resistance was not sufficient, leading to a “faceting” of the silicon lines (left). In the case of silicon-containing or perfluorinated resists, nonfaceted line profiles were observed (center and right). Indeed, incorporation of fluorine-rich monomers in the UV curable resist may enhance the etch properties. Kim et al. [69], where an acrylate-based formulation was doped with a fluorine-substituted acrylate chain, reported that the incorporation of 20% of fluorinated monomers increased the oxygen plasma etching rate of the photopolymerized material by more than 15%. But another example reported by AGC [70] highlighted a weakening of the adhesion to the substrate when the amount of fluorinated monomer increased, necessitating the application of a suitable adhesion promoter to the substrate.
Figure 1.7 Examples of silicon etched with different UV-NIL resists in exactly the same conditions: (a) purely organic resist; (b) silicon-containing resist; (c) perfluorinated resist.
The resist removal process, or resist stripping, is generally done with pure oxygen plasma or using an acid solution (mixture of hydrogen peroxide and sulfuric acid, for example). Additionally, a dip in hydrofluoric acid (HF), removing silica-like compounds, may help to remove the passivation layers and resist residues that appear during silicon etching. In the case of Figure 1.7, resist stripping was done with an oxygen plasma and HF dip. We observed that a large number of residues still exist on the wafer surface for the silicon-containing resist. Indeed, removing resist residues of crosslinked materials, especially those with a high etch resistance, is difficult; Perfluorinated materials seem to present both a sufficient etch resistance and ease of stripping. Alternatively, a very elegant method allowing an efficient resist stripping process for crosslinked materials is the development of “degradable crosslinkers” [71].
1.4 Mold Fabrication and Anti-Sticking Strategies
1.4.1 Mold Fabrication and Characterization
In UV-NIL, molds can be made of organic materials, using for example polymers or elastomeric materials (to be described in more details in Section 1.4.6 below) or inorganic materials: glass, fused silica, transparent conductive oxide such as indium tin oxide [72] (ITO, to overcome charge problems), sapphire [73], fluorinated diamond-like carbon [74], boron nitride [75] or silica-like electron-beam inorganic resist such as hydrogen silsesquioxane [76] (HSQ). Using HSQ, one can fabricate directly the features without etching as the resist has almost the composition and density of silica after thermal treatment.
Among all these transparent materials, 6.35 mm thick, 150 mm square fused silica plates (so-called 6025 fused silica blanks in the microelectronics mask industry) are mostly used as base material for UV-NIL stamp fabrication thanks to their commercial availability, their high degree of purity, their excellent flatness and their inert behavior with respect to photocurable monomers solutions. In addition, mask shops have acquired, for the purpose of phase shift masks fabrication, accurate and reliable electron-beam writing as well as plasma etching processes on such type of plates [77–79]. Moreover, quartz stiffness is high enough (9.3 GPa) to avoid the deformation of nano-features during repetitive imprinting sequences, which may induce unacceptable misalignment in mix and match lithographies. However, the resolution needed here is much smaller than required for optical masks, as NIL is a 1X lithography technique. Also, it is more difficult to etch an insulating material like silica to very small dimensions in a plasma (as compared to silicon for example) due to surface charge issues.
More details on fused silica mold fabrication can be found elsewhere [80]. In general, a resist is patterned using electron-beam lithography and then transferred to a thin (between 8 and 20 nm) chromium layer with a Cl2/O2 plasma. Afterwards, fused silica is etched in a fluorocarbon plasma using the chromium layer as a hard mask. Finally, this hard mask is removed in a wet or dry process.
As explained before, mold inspection [81] and repair [82] is mandatory in applications like microelectronics, requiring a very low defect rate. Some specific commercial tools, derived from wafer and mask inspection equipments, are under development [83, 84]. Also, the LER is an important characteristic of fabricated templates and becomes a critical issue when the dimensions shrink. One powerful method, used in our lab, to characterize the roughness of fabricated UV-NIL molds is three-dimensional atomic force microscopy (AFM3D). Such a tool is able to completely reconstruct a 3D surface (see example in Figure 1.8) and to measure directly the LER value. Also, AFM3D can be used to follow the roughness of NIL fabricated features at the different steps of the process, that is, after imprint, residual layer etching, transfer and finally after stripping.
Figure 1.8 Example of mold characterization by three-dimensional atomic force microscopy (AFM3D) (100 nm wide lines).
1.4.2 Anti-Sticking Strategies
A major challenge of the nanoimprint technique is to perform a correct detachment of the mold from the cured resist. Its difficulty is inherent to the high density of nanoscale protrusions patterned on the mold surface, which effectively increases the total area in contact with the UV-cured resist. Therefore, sticking between imprinted polymeric structures and the mold surface can have the three following detrimental consequences:
Improper release of the polymer film from the template creates defects in the imprinted layer.Any residual photopolymer that remains on the template from a previous incomplete release creates defects in subsequent imprinted patterns. (Some work has shown a “self-cleaning” effect of the mold [85], removing contaminants after a few imprints, but this effect is not very clear and seems to depend on the contaminant size and on the process used.)Attempts to systematically remove photopolymer residues from the mold surface by wet or dry chemistry are not only time consuming processes but also harmful to the template integrity and lifetime.An example of imprinted features, with and without mold treatment (Optool DSX, from Daikin Chemicals [86]), using otherwise the same imprinting conditions, is illustrated in Figure 1.9. In the case of the untreated mold, the imprinted resist layer is clearly not usable. The origin of this problem can be manifold: weak adhesion of the resist film to the substrate, strong adhesion of the cured materials to the mold, poor mechanical strength of the material or, more likely, a combination of all. However, improving adhesion of the resist film to the substrate and tuning its mechanical properties cannot totally prevent sticking. Therefore much work has been done to reduce mold-resist sticking by minimizing the interfacial energy as detailed in the next section.
Figure 1.9 Resist imprinted with an untreated (a) and a treated (b) mold under otherwise the same conditions.
1.4.3 Mold Treatment
Quartz is a hydrophilic material exhibiting a rather high surface free energy (∼50 mJ m−2) facilitating the adhesion of cured resist to its surface. Yet, according to industrial criteria for process throughput and cost of ownership, a quartz template should be able to undergo thousands of imprinting sequences before being cleaned or re-treated. To meet such severe requirements, quartz templates must be coated with an anti-adhesion layer that effectively lowers its adherence to the cured resist. In addition to this criterion, the anti-adhesion layer must have good adhesion to the template surface, should be deposited in a conformal way onto the mold features, should have good mechanical properties (high stiffness) and should not reduce excessively the UV transmittance properties of the mold. Moreover, in the case of sub-100 nm features patterned on the mold surface, the thickness of this layer should not exceed a few nanometers, its surface roughness has to be as low as possible and should not increase the initial roughness of the mold.
Diamond-like carbon (DLC) coatings have been considered as a good choice for this application due to the combination of relative hydrophobicity with outstanding mechanical properties [74]. They can be easily obtained by plasma enhanced chemical vapor deposition (PECVD) of hydrocarbon gases (methane) and exhibit a lower surface energy than silica (∼40 mJ m−2) and high stiffness (20 GPa). However, the deposition rate of those films is high in standard deposition conditions (few nm per second), which makes it difficult to obtain uniform and conformal layers thinner than 20 nm, making them unsuitable for sub-100 nm features. Besides, the transmittance of such DLC films is low at typical wavelengths used in the UV-NIL process (13% transmittance for a 100 nm thick layer, 50% for a 10 nm one) [87].
Other attempts have been made in order to improve the optical properties of DLC coatings via partial doping in the vapor phase. N2 or Si doping has been proven to enhance the UV transmittance of ion beam synthesized DLC coatings [88], but the stiffness was noticeably reduced. Teflon-like thin films deposited by plasma show a very good hydrophobic performance but suffer from poor adhesion to the template surface [89]. More generally, the trade-off between the tribological properties, chemical composition, surface energy and optical band gap is critical in defining the performance of these coating materials for UV-NIL applications.
Another approach is the use of fluorinated silane molecules able to covalently bond to the mold surface. The principle consists in first preparing the mold surface to generate the required terminal hydroxyl groups. When fluorinated silanes are then adsorbed on the template surface, their polar head groups undergo a hydrolysis reaction forming silanol terminations (Si–OH). Finally, thermal annealing is performed in order to form covalent siloxane bonds (Si–O–Si) between the mold and fluorinated molecules [90]. Hydrophobic properties are provided by the CF2 or CF3 groups in the molecule [91], as predicted by molecular dynamics considerations [89].
Several fluorinated molecules have been reported as being release agents for NIL templates. Among them are tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane [CF3–(CF2)5–(CH2)2–SiCl3, F13-TCS], 1,1,2,2-perfluorodecyltrichlorosilane [CF3–(CF2)7–(CH2)2–SiCl3, F17-TCS], 1H,1H,2H,2H-perfluorooctyltrimethoxysilane [CF3–(CF2)5–(CH2)2–SiO(CH3)3, F13-TMS], and a perfluoropolyether molecule (Optool DSX from Daikin Chemical [86]). Almost all these molecules lead, when properly deposited, to water contact angles higher than 100° and a free surface energy on the order of 11 mJ m−2, which is able to reduce adhesion between the treated mold surface and the cured resist.
Fluorinated self-assembled monolayers (F-SAM) can be deposited either in liquid phase, by dipping the mold directly into a diluted solution of anti-sticking molecules [92], or in a vapor phase process. The latter can be done either by thermal evaporation of the liquid precursor at atmospheric pressure [93] or by vacuum evaporation at room temperature [94]. Chlorosilane molecules are very reactive and able to polymerize, producing particles that can precipitate onto the mold. This is why it is preferable to use the vapor phase process with this type of molecules, leading to smoother surfaces [95].
Compared with inorganic deposited films, F-SAMs have several advantages:
Their thickness is very low. Experimental values reported in literature vary from 0.2 nm for a F13-TCS based F-SAM up to 3 nm for an Optool DSX-based one [96]. Although the thickness measurement techniques (ellipsometry, X-ray reflectometry) are not always well calibrated at the sub-nanometer scale, it is now widely admitted that the deposition of such SAMs does not significantly change the critical dimensions of nanostructures fabricated on the mold surface.Their adhesion to the mold is excellent due to covalent bonding of the molecules.Deposition parameters like dip time, concentration and temperature of the solution or evaporating time and pressure can be monitored to optimize the uniformity, roughness and density of the deposited F-SAM.F-SAM deposition can easily be implemented in an industrial environment.F-SAM treatments are cleanable and can be entirely removed from silica surfaces by a suitable combination of wet and dry etching processes without altering the surface roughness of the mold [96]. This property enhances the ability of F-SAM treatments to be implemented in a whole repetitive and reliable patterning process and increases the mold lifetime.Despite these interesting properties, even the best F-SAM has been widely reported, by several research teams from academia, to be not very durable. Indeed, sticking problems between a treated mold and a UV-cured resist may appear after ten imprints only [96]. This releasing default is accompanied by an increase of the surface energy of the template [90] and a loss of fluorine on the mold surface [97]. With optimized conditions and using the Molecular Imprint Inc. process, a mean lifetime of the release layer of about 800 cycles was reported [6] (i.e., 6 wafers in the used conditions). This is a poor figure of merit with respect to Sematech's board specifications [98] and can seriously impede the spread of UV NIL as a large volume nanopatterning technique.
1.4.4 Fluorinated Mold Treatment-Resist Interactions
Over the last few years, several studies have aimed at determining the accurate mechanisms that are responsible for the premature degradation of molds, but their conclusions do not systematically converge [99, 100]. However, outlines of numerous studies confirm a chemical reactivity between the cured resist and the F-SAM with acrylate or vinyl ether formulations [99]. The species that are presumed to attack fluorinated molecules are free radicals or cationic charges generated during photopolymerization. Recently, resist free radicals were precisely identified, for the first time, by an electron spin resonance analysis, as having a chemical affinity with Optool DSX fluorinated molecules [101]. Other studies have also highlighted the impact of the resist formulation on the F-SAM degradation rate and mechanisms. For instance, the incorporation of crosslinkers or silicon containing components has a clear impact on the adhesion between mold and cured resists [102].
Even if some experimental trends are not fully understood (in particular the balance between chemical and mechanical degradation), it is found that fluorinated release layers have a limited lifetime when imprinting conventional acrylate or vinyl ether resists. The only criterion of low surface energy is not sufficient to guarantee durable and effective anti-sticking behavior and there is a need to develop resist systems having a low reactivity with fluorinated molecules. Alternatively, a recent study by Houle et al. [103] showed that thin metal oxide compounds coatings, with water contact angles lower than 50°, can be good candidates for anti-sticking layers with well controlled behavior when used with free radical or cationic resists.
1.4.5 Resist-Oriented Anti-Sticking Solutions
Studies of the degradation of F-SAM anti-sticking layers have highlighted the fact that the free radicals in resists are, in some case, reactive species responsible for fluorine atom removal from the template surface. To minimize the interaction between the resist components and the template surface, two resist oriented solutions are reported.
By adding fluorine-containing monomers to the UV curable mixture, it was demonstrated that hydrophobic properties of the UV cured resist are excellent and allow easy and repetitive demolding [70, 104]. Besides, the viscosity of the UV curable mixture is not degraded because fluorine-containing monomers are available with viscosities as low as 10 mPa.s. Also, when associated with F-SAM template anti-sticking treatments, the use of fluorine rich resist seems to slow (but not eliminate) its degradation [104].
Another possibility is the use of fluorinated surfactants in the resist composition. Surfactants are small molecules that will not participate in the polymer network and can move in the resist before curing [105]. According to this explorative work, it seems that, to fully take advantage of their incorporation, surfactant migration from the resist to the resist/template interface has to be effective. This is possible only with low surface energy templates. Indeed, fluorinated surfactants are segregated at the resist/template interface only if there is an affinity at the considered interface [47]. For the same reason, and when used in relatively small quantities, surfactants will not degrade the adhesion of the resist to the substrate. Additionally, an elegant method being developed is the use of “reactive” surfactants able to regenerate the mold treatment in-situ during imprinting [106].
1.4.6 Polymeric Mold Materials and Stamp Copies
A different solution to fabricate molds and control contamination consists in copying an initial master mold into a daughter mold using a polymeric transparent material with good intrinsic release characteristics. In general, polymeric materials have low surface energies. Furthermore, master molds can be fabricated in silicon using standard tools and processes from the microelectronics industry. Also, this solution would solve the anti-sticking treatment aging problem as well as lower the cost of working templates.
AGC has produced fluorinated high transparency polymers (F-template) that can be embossed by thermal NIL to replicate a master template, made of either silicon or quartz [70], into a working stamp with a water contact angle higher than 100°. Other research teams have also synthesized thermally [107] and UV [108, 109] curable formulations for the need of template replication. Nevertheless, to be definitely adopted, this alternative solution has to be well characterized in terms of mold degradation and mechanical properties. Also, some replication materials, with very high stiffness, contain a high inorganic part and might need a surface treatment to be perfectly effective [110].
When no high accuracy alignment is needed and low pressure is used in UV-NIL, the mechanical properties of the mold can be relaxed. Then, mold copies can also be obtained by replicating elastomeric materials like Poly(dimethylsiloxane) (PDMS), which is a soft UV-transparent material in the 340–600 nm wavelength region [111, 112]. Finally, the ultimate stamp copy process was presented by Obducat with the Intermediate Polymer Stamp [113]. Here, the polymer stamp is used only once, avoiding any mold contamination or erosion issues.
1.5 Conclusion
On a laboratory scale, UV nanoimprint lithography is able to produce very high resolution features at high throughput and low cost potentially on any surface. It is essential to consider the mold design, resist quantity and formulation, resist flow, environmental contamination, and flatness issues. To proceed a step further in development and industrialization, some critical issues must be considered such as air inclusions, fast and uniform curing, mold inspection and repair, distance between imprinted dies, and border imprinted dies in the step-and-repeat process. Some solutions exist but will need further development to be really effective. One of the major problems is the sticking between the mold and the cured resist, which may lead to additional defects, mold contamination, mold abrasion and may require time-consuming mold cleaning and re-treatment. Most likely, a combination of resists with low reactivity towards fluorinated treatments, resist surfactants and mold copies will be needed to overcome this issue. The direct measurement of demolding forces, implemented in some of the prototype step-and-repeat systems, should reveal the stamp degradation and predict the need for change or re-treatment, almost certainly in this last case using automated mask cleaning sequences [114].
With regard to the future, nanoimprint lithography is still considered as a next generation lithography technique in microelectronics, but it will most probably remain a generic technique for specific applications with special processes and tools in the fields of optics, data storage [115], and applications requiring 3D fabrication or imprinting in a functional material. In the microelectronics industry, the cost of ownership (CoO) of NIL was calculated not to be systematically below the CoO of photolithography or extreme UV (EUV) [116]. Furthermore, even if the CoO of NIL is lower, industries will not change their technology completely unless the gain exceeds 30% (Personal communication, Jean Massin (STMicroelectronics) 2009). In any case, a major industrial laboratory claims that perfection in NIL will be too expensive and suggests the development of alternative integrated circuits technologies that are defect tolerant [117].
References
All web sites mentioned in the References were last accessed on 2 August 2010.
1. Chou, S.Y., Krauss, P.R., and Renstrom, P.J. (1995) Appl. Phys. Lett., 67, 3114.
2.